Friday, October 3, 2014

Transition to next-generation FinFET process nodes: Samsung unlikely to be in the lead despite media reports

In the last few months, relatively vague media reports about Samsung gaining back chip orders from Apple that it has recently lost to TSMC, as well new orders for Qualcomm and other players for its next-generation 14nm FinFET technology have surfaced a few times. These media reports have frequently been widely reported in popular technology publications, often been interpreted as if TSMC would be losing market share in 2015 to the point of having significant excess capacity or as if Samsung has a considerable technology lead. However, these media reports as well sweeping conclusions about a presumed superior market competitiveness of Samsung in comparison with TSMC in 2015 are likely to be highly inaccurate.

TSMC currently dominates advanced node foundry production


TSMC currently dominates the foundry market for leading-edge nodes such as 28 and 20nm for chips such as smartphone SoCs and GPUs with a market share in excess of 80%, and faces significantly more demand than it is able to supply, despite unprecedented investment in new production capacity. Samsung's 28nm logic fabs are currently largely empty, and a similar situation is occurring at GlobalFoundries as it has been struggling to gain significant customers apart from AMD. Within this context, it is apparent that TSMC has been doing something right, while Samsung and GlobalFoundries must have had some significant set-backs, otherwise this market share distribution would not be happening. Given this track record, one can wonder how realistic it is to expect that the level of competitiveness of Samsung and GlobalFoundries would recover or even be reversed for next-generation processes as early as 2015.

Chip design companies motivated to seek additional sources of supply, but challenges apparent


Clearly, because TSMC currently has a virtual monopoly and is not able to fulfill demand there is a pressing motivation for chip companies such as Qualcomm and others to seek additional sources of supply. Therefore there is no reason to doubt that major efforts are being made in this area, especially starting from about Q2 2014 when the capacity shortage at TSMC became very evident. However, successful completion within any reasonable time-frame of such a move (especially when the effort has only recently become more intensive) involves substantial technological challenges and risks, which make it unlikely that it will actually happen in any way close to the time-frame and volume that has been suggested be some reports.

The fact that TSMC's 16nm FinFET process is an evolutionary extension of its already highly successful 20nm process to incorporate FinFET technology, rather than the radical technology changes involved in Samsung's 14mn FinFET process, also make it likely that chip design companies will continue to concentrate on TSMC process technology in the near term out of necessity, with any efforts with Samsung likely to only result in significant production at a much later stage.

Optimistic projections from sources within Samsung widely reported as fact


In an article on October 1, ZDNet (based on an article from its Korean website) quotes a manager from Samsung's LSI division saying that Samsung is likely to improve profits once it achieves volume production for next-generation products for Apple. The source declined to comment about when Samsung would start mass producing such chips for clients. Combining earlier media speculation, the article goes on to state that 14nm production for clients such as Apple, Qualcomm and AMD would start as early as the end of this year. The article also quotes undisclosed sources that Samsung is producing 30% of Apple's A8 processors, with the rest being manufactured by TSMC. The article has been widely quoted in popular news media.

However, there are several reasons to believe that these reports are relatively inaccurate and misleading. First of all, unofficial remarks from sources within Samsung seem to be the only source of information for the article. As mentioned in the article, Samsung is currently incurring very significant losses from its logic (LSI) fabs because of underutilization after losing Apple SoC orders to TSMC. That sources within Samsung (including managers who in fact may hold primary responsibility within Samsung for achieving profitability of the LSI division) would be inclined to paint to an over-optimistic picture that may not accurately reflect the the current and future market status for production of advanced next-generation designs is not at all surprising.

Apple has explored multiple sources for production of Apple A9


Already in July 2013, an article published by EE Times reported that Apple signed a deal with Samsung with Apple to produce the Apple A9 in 2015.  This article also illustrates that knowledge of TSMC 20nm production for the Apple A8 in 2014 (as mentioned in the article) was already widespread at this time. However, in June 2013, it was already reported that Apple signed a three-year deal with TSMC not only involving 20nm, but also TSMC's next-generation 16nm FinFET and later 10nm FinFET technologies, with Apple A9 being mentioned. Recently, in August 2014, DigiTimes reported that TSMC had gained production of the Apple A9 using its 16nm FinFET process with significant volume as early as Q1 2015. More recent reports suggest Apple A9 will be manufactured at TSMC but using the same 20nm process as Apple A8.

Based on TSMC's track record and in particular its successful high volume ramp of the Apple A8 using its 20nm process, I believe it is very likely that Apple will focus Apple A9 production, at least for the most significant earlier part of its production cycle, at TSMC. Apple will be able to move to FinFET earlier at TSMC if it chooses too because TSMC's 16nm FinFET is to a large extent an evolutionary extension of its 20nm process incorporating FinFET technology, rather than the radical technology change involved in Samsung's 14mn FinFET process, achievement of maturity for high volume production is much less of a challenge which makes it unlikely that Samsung will be able to achieve a similar level of maturity in a time-frame that is competitive with TSMC. The fact that qualifying and bringing a similar chip to stable production at Samsung involves substantial additional investment in chip design, testing and associated risks including the timing of such production will probably even make it attractive for Apple to keep material Apple A9 production at TSMC for its entire life cycle.

Achieving significant production of Apple A8 will be very challenging for Samsung


In addition, the accuracy of the claim that 30% of the production of the Apple A8 is already manufactured by Samsung is highly questionable. Samsung's 20nm process is fundamentally different from that of TSMC in several details, and Apple would have to repeat most of the design/validation cycle that it is has already completed for the TSMC version of Apple A8 in order to be able to produce at Samsung's fabs, resulting in very high additional cost, numerous risks, and substantial delays. Moreover, it is doubtful that the production capacity of Samsung at 20nm (which it already uses for certain Exynos chips such as Exynos 5430 and 5433, and even those do not appear to have already ramped in really high volumes) is ramping fast enough to quickly gain material shipments to Apple, especially when Samsung is supposed to be rapidly transitioning to 14nm FinFET.

While it is not unlikely that Samsung has been aggressively seeking to provide capacity for the Apple A8, working with Apple, whether it would be able to achieve material amounts of production before the latter stages of the life cycle of the Apple A8 in 2015 when production levels will already have decreased is debatable. From Apple's viewpoint, it appears that its relationship with TSMC involves TSMC giving it any level of capacity it needs (to the detriment of competitors who are facing wafer shortages) which makes the apparent benefit for Apple to quickly move part of the Apple A8 production Samsung relatively limited. Samsung may offer lower prices for 20nm manufacturing capacity, but as explained earlier, the complexity, cost, time and risk involved in moving Apple A8 production to Samsung make it unlikely that Samsung will be able to gain a significant share of production within a reasonable time-frame.

Comparison of FinFET technologies at Intel, TSMC and Samsung


Recently, ZDNet also published a much more technical and reliable article discussing the status of FinFET technologies of the major fab players, including Intel, TSMC, Samsung and GlobalFoundries.

Intel started production of processors using FinFET technology at 22nm as early as 2011 and has already shipped 500 million such chips, mostly targeted at PCs but also gaining shipments for tablet applications this year. It also offers the technology to other customers as a foundry. Intel has started volume production of its next-generation 14nm FinFET process, which is a "true shrink" with significantly increased transistor density and delivers a combined 1.6x improvement in performance/Watt across applications ranging from smartphones to servers, and will continue to ramp production into 2015.

TSMC's 16nm FinFET development is at an advanced stage


TSMC's first generation 16nm FinFET process, 16FF, was qualified in November 2013 and already saw product tape-outs as early as April 2014. This suggests TSMC's 16nm FinFET process is already close to high volume production. TSMC's 16FF process will be followed up by its 16FF+ process with tape-outs expected in early 2015. While the performance benefits of 16FF are limited due its similarities (the same back-end metal layers) with TSMC's 20nm process, the 16FF+ process involves a reduction in feature size that makes it competitive with the theoretical performance of 14nm FinFET processes from competitors. TSMC is already in a stage called "risk production" for 15 16nm FinFET products this year and another 45 products next year for a variety of applications. Yields are reported have already reached levels comparable to TSMC's 20nm process. This is not surprising, as TSMC has reported that 95% of the tools used for 20nm can be reused for 16FF, which also brings massive advantages in the required level of investment to ramp capacity and greatly facilitates time-to-market.

TSMC quotes its 16FF+ process as having 15% greater performance when compared to 16FF (40% compared to 20nm) and 30% less power consumption when compared to 16FF. TSMC is already working on 10nm FinFET process technology which involves a more substantial 2.2x increase in transistor density.

SoCs using Cortex-A57 and Cortex-A53 CPU cores already implement TSMC's 16nm FinFET processes


Although 16FF is seen as a stepping stone to FinFET technology, it does provide performance benefits over planar 20nm. TSMC and ARM have announced that a 16nm test chip using Cortex-A57 and Cortex-A53 cores in a big.LITTLE configuration achieved a sustained 2.3GHz clock rate for the Cortex-A57 core with minimal power consumption of 75 milliwatts achieved for the Cortex-A53 core for common workloads. This demonstration involving a currently relevant SoC design illustrates the relative maturity of TSMC's 16nm technology.

For Cortex-A57, 16FF+ is expected to result in a 11% performance improvement relative to 16FF at the same level of power, while power consumption of the Cortex-A53 for low-intensity applications is reduced by 35%. ARM POP IP core hardening (tweaking cores for either performance or low power consumption) is utilized for early 16FF+ SoC designs. Although TSMC does not specifically address the use of Cortex-A53 at higher clock rates for high performance applications instead of Cortex-A57, the quoted numbers are consistent with the better scaling of Cortex-A53 on new processes when compared to performance-oriented "big-core" Cortex-A57 and cores with a similar architecture.

For example, one can speculate that the significant power reduction for the Cortex-A53 will further significantly increase the maximum clock rate and performance of Cortex-A53 CPU cores, more than the 11% quoted for Cortex-A57, making Cortex-A53-only designs more attractive for high-end applications. Already, early reports about MediaTek's MT6795 octa-core SoC running at about 2.2GHz, the first Cortex-A53-based SoC targeting high performance applications, suggest that it will provide premium-level performance at half the price of current premium-performance SoCs. The chip achieves this despite still using 28nm technology, indicating that Cortex-A53-based high-performance designs using more advanced nodes such as 20nm and 16nm FinFET will be even more revolutionary in terms of performance efficiency.

Samsung development of 14nm FinFET well underway, but maturity for high volume production unclear


Production of the first test chip (using a Cortex-A7 CPU core) on Samsung's first generation 14nm FinFET process, 14FPE, already occurred in December 2013. According to the marketing manager for Samsung’s foundry business, the foundry has completed tape-outs of multiple products and has already started early commercial production for some customers. The 14FPE process is claimed to provide either a 20% boost in performance or a 35% reduction in power consumption when compared to be a planar 20nm process. The process is said to result in 15% smaller chips when compared to a 20nm planar process.

Considering the considerable technological changes in Samsung' FinFET process (especially when compared to TSMC's more evolutionary first-generation 16FF process, which is closely aligned with the already almost mature 20nm), the claimed performance and density gains are relatively minor in the context of the high costs and learning curve involved in bringing chips to mature volume production. High theoretical performance of a new process has little value when it involves very high investment in chip design, relatively high manufacturing cost, and when mature volume production is not achieved in a timely manner. A higher performance version of Samsung's 14nm FinFET process, 14LPP, is expected to be qualified in a couple of months time.

Meanwhile, GlobalFoundries has given up on its own 14XM FinFET process and has aligned with Samsung's 14LPE and 14LPP processes. This decision probably means that it will take considerable time before GlobalFoundries will be competitive for volume production using FinFET, providing evidence that its market position will continue to be precarious for some time.

Conclusion


In summary, indications are that TSMC, helped by its more evolutionary transition to FinFET and dominant position in current leading-edge processes, is much closer to stable high volume production of next-generation FinFET processes than Samsung, and that it will continue to dominate leading-edge foundry production in the near term even as chip designers seek additional sources of supply given the very tight capacity environment at TSMC.

While Intel is also well advanced in its FinFET process development and uses it on a large scale for PC processors, it has not yet seen widespread success either as a foundry partner for third parties or as a provider of large numbers of low-power SoC for applications such as smartphones, also illustrated by the fact that early Intel mobile SoCs such as SoFIA that integrate cellular baseband and other components will in fact first be produced at TSMC and not in Intel's own fabs.

Source: ZDNet (Technical article of FinFET technology development), ZDNet (Samsung LSI article), EE Times

Updated October 5, 2014 (Spelling, grammar) .
Updated October 30, 2014 (Grammar, small corrections).
Updated December 26, 2014 (Minor grammatical corrections).

No comments: